Area Efficient FPGA Based Bidirectional Network on Chip Router through Virtual Channel Regulator

  IJCOT-book-cover
 
International Journal of Computer Trends and Technology (IJCTT)          
 
© - July Issue 2013 by IJCTT Journal
Volume-4 Issue-7                           
Year of Publication : 2013
Authors :Ashish khodwe, C.N. Bhoyar

MLA

Ashish khodwe, C.N. Bhoyar "Area Efficient FPGA Based Bidirectional Network on Chip Router through Virtual Channel Regulator"International Journal of Computer Trends and Technology (IJCTT),V4(7):2098-2106 July Issue 2013 .ISSN 2231-2803.www.ijcttjournal.org. Published by Seventh Sense Research Group.

Abstract: - Fundamental unit of building a Network on Chip is the router; it directs the packets according to a routing algorithm to the desired host. Both NoC performance and energy budget depend heavily on the routers` buffer resources. This paper introduces a novel Bidirectional Network on chip router with unified buffer structure, called the dynamic Virtual Channel Regulator, which dynamically allocates Virtual Channels (VC) and buffer resources according to network traffic conditions. In this study, we analyse the move towards Networks-on-Chips router from an area and power perspective by accurately modeling a Bidirectional Network-on-chip router through Virtual Channel Regulator in FPGA. Accurate speed, area and power metrics are also reported for the networks router, which will allow a more complete comparison to be made across the NoC architectural router space considered. The proposed architecture of BiNoC router is simulated in Xilinx ISE 9.1i software. We designed a router with scalability feature which is synthesized in models of Virtex-II XC2VP30 FPGA infrastructures. The source code is written in VHDL. In addition, the proposed router uses low resource utilization percentage of FPGA. From the implementation results, the proposed router is operated with higher speed, area in terms of slices reduced by 11.14% and the LUTs reduced by 37.68%

 

References-
[1] L. Benini and G. De Micheli, Networks on Chips: A New SoC Paradigm, IEEE Computer, Jan. 2002, pp. 70-78.
[2] P. Magarshack and P.G. Paulin, System-on-Chip beyond the Nanometer Wall,h Proceedings of 40th Design Automation Conf. (DAC 03), ACM Press, 2003, pp. 419-424.
[3] A. Ben Abdallah, M. Sowa, Basic, Network-on-Chip Interconnectionfor FutureGigascaleMCSoCs Applications: Communication and Computation Orthogonalization, Proc. Of The TJASSST2006 Symposium on Science, DEC. 2006.
[4] H. Ito, M. Kimura, K. Miyashita, T. Ishii, K. Okada, and K. Masu, “A bidirectional and multi-drop-transmission-line interconnect for multipointto- multipoint on-chip communications,” IEEE J. Solid-State Circuits, vol. 43, no. 4 pp. 1020–1029, Apr. 2008.
[5] MyongHyon Cho, MieszkoLis, Keun Sup Shim, Michel Kinsy, Tina Wen and SrinivasDevadas” Oblivious Routing in On-Chip Bandwidth-Adaptive Networks”.
[6] Microarchitectures in on-chip networks,” in MICRO 36: Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture. Washington, DC, USA: IEEE Computer Society, 2003, p. 105.
[7] Z. Lu and A. Jantsch, “Flit ejection in on-chip wormhole-switched Networks with virtual channels,” in NORCHIP ’04: Proceedings of the 2004 IEEE/ACM International Conference on Norchip, Nov. 2004, pp. 273–276.
[8] J. Hu, ¨ U. Y. Ogras, and R. Marculescu, “System-level buffer allocation for application-specific networks-on-chip router design,” IEEE Trans. on CAD of Integrated Circuits and Systems, vol. 25, no. 12, pp. 2919–2933, Jan. 2006.
[9] H. Wang, L.-S. Peh, and S. Malik, “Power-driven design of router.
[10] C. A. Nicopoulos, D. Park, J. Kim, N. Vijaykrishnan, M. S. Yousif, and C. R. Das,“ViChaR: A dynamic virtual channel regulator for network-on-chip routers,” in MICRO’39: Proceedings of the 39th Annual IEEE/ACM International Sympo-sium on Microarchitecture, Dec. 2006, pp. 333–346.

Keywords : — —Interconnection networks, on-chip communication, Reconfigurable, NoC, FPGA.